Description

The onset of lithographic technology involving extreme numerical aperture (NA) values introduces critical technical issues that are now receiving particular attention. Projection lithography with NA values above 0.90 is necessary for future generation devices. The introduction of immersion lithography enables even larger angles, resulting in NA values of 1.2 and above. The imaging effects from oblique angles, electric field polarization, optical interference, optical reflection, and aberration can be significant. This paper addresses polarization considerations at critical locations in the optical path of a projection system, namely in the illuminator, at the mask, and in the photoresist. Several issues are addressed including TE and azimuthal polarized illumination, wire grid polarization effects for real thin film mask materials, and multilayer resist AR coatings for high NA and polarization.

Date of creation, presentation, or exhibit

5-28-2004

Comments

Copyright 2004 Society of Photo-Optical Instrumentation Engineers. One print or electronic copy may be made for personal use only. Systematic reproduction and distribution, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Note: imported from RIT’s Digital Media Library running on DSpace to RIT Scholar Works in February 2014.

Document Type

Conference Paper

Department, Program, or Center

Microelectronic Engineering (KGCOE)

Campus

RIT – Main Campus

Share

COinS